Top

صهيب فهمي

أستاذ مشارك، علوم الحاسب الآلي

قسم العلوم والهندسة الحاسوبية والكهربائية والحسابية
عضوية مركز الأبحاث :
مركز الحوسبة الفائقة

suhaib.fahmy@kaust.edu.s


الانتماءات

المؤهل العلمي

  • زميل أبحاث ما بعد الدكتوراه، كلية ترينيتي في دبلن، 2007-2009
  • دكتوراه، الهندسة الكهربائية والإلكترونية، إمبريال كوليدج لندن، 2008
  • ماجستير، هندسة نظم المعلومات، إمبريال كوليدج لندن، 2003

الاهتمامات البحثية

تتمحور الاهتمامات البحثية للبروفيسور فهمي حول الحوسبة القابلة لإعادة الضبط وتصميم مسرعات الأجهزة المخصصة لتحسين أداء وكفاءة تطبيقات الحوسبة المكثفة، وتطبيق ذلك على مجموعة متنوعة من المجالات، بما في ذلك أنظمة السيارات والأمن السيبراني والاتصالات اللاسلكية والتعلم الآلي.

مؤلفات مختارة

  • R. A. Cooke and S. A. Fahmy, “A Model for Distributed In-Network and Near-Edge Computing With Heterogeneous Hardware”, in Future Generation Computer Systems, vol. 105, pp. 395–409, April 2020.
  • R. A. Cooke and S. A. Fahmy, “Quantifying the Latency Benefits of Near-Edge and In-Network FPGA Acceleration”, in Proceedings of the International Workshop on Edge Systems, Analytics and Networking (EdgeSys), Heraklion, Greece, April 2020, pp. 7–12.
  • K. Vipin and S. A. Fahmy, “FPGA Dynamic and Partial Reconfiguration: A Survey of Architectures, Methods, and Applications”, in ACM Computing Surveys, vol. 51, no. 4, pp. 72:1–72:39, September 2018.
  • B. Ronak and S. A. Fahmy, “Mapping for Maximum Performance on FPGA DSP Blocks”, in IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 35, no. 4, pp. 573– 585, April 2016.
  • S. A. Fahmy, K. Vipin, and S. Shreejith, Virtualized “FPGA Accelerators for Efficient Cloud Computing” in Proceedings of the IEEE International Conference on Cloud Computing Technology and Science (CloudCom), Vancouver, Canada, November 2015, pp. 430–435.